What is the difference between these 2 vhdl codes -


इन 2 vhdl कोडों में क्या अंतर है?

पहले :

<प्री> लाइब्रेरी आईईईई; IEEE.Std_Logic_1164.all का उपयोग करें; इकाई mux4 बंदरगाह (in1, in2, in3, in4: std_logic; ctrl: in std_logic_vector (1 नीचे से 0); sai: out std_logic); अंत mux4; Mux4 की वास्तुकला mux_bhv प्रारंभ प्रक्रिया (in1, in2, in3, in4, ctrl) शुरू होता है जब ctrl है "00" = & gt; साई & lt; = in1; जब "01" = & gt; साई & lt; = in2; जब "10" = & gt; साई & lt; = in3; जब "11" = & gt; साई & lt; = in4; जब अन्य = & gt; शून्य; एंड केस; प्रक्रिया समाप्त; अंत mux_bhv;

दूसरा :

  लाइब्रेरी आईईईई; IEEE.Std_Logic_1164.all का उपयोग करें; इकाई mux4x1 पोर्ट (w, x, y, z: std_logic_vector (7 से डाउन 0); s: std_logic_vector (1 नीचे से 0); m: बाहर std_logic_vector (7 downto 0)); अंत mux4x1; Mux4x1 के आर्किटेक्चर सर्किट एम शुरू होता है; = w जब s = "00" और x होता है जब s = "01" और y जब s = "10" अन्य z; एंड सर्किओ;    

एक और मामूली अंतर std_logic vs Std_logic_vector सिमुलेशन में है: दूसरी मक्स का आउटपुट m को z पर सेट किया गया है, जब s है "11" और जब < में

पहली mux में, आउटपुट sai

फिर से, यह केवल एक सिमुलेशन अंतर है।

एचटीएमएल>

Comments